CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - 转换 VHDL

搜索资源列表

  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. lunwen

    0下载:
  2. 本文论述了在整个无线收发系统中用软件的方法实现信道编译码系统的功能。实现了一种基于FPGA的信道编译码方法,并给出了VHDL语言的实现方法及仿真波形。信道编译码系统包括发射端的信道编码和接收端的信道译码两大部分。信道编码部分包括汉明编码、基带信号调制本次设计采用DPSK调制方式和并串转换连接模块。译码部分包括汉明译码、DPSK解调和链接模块。本系统的实现过程是:先通过软件编程实现各部分的功能模块,然后编程连接各模块,系统编译仿真通过以后载入FPGA(现场可编程门阵列) 芯片,验证结果。实验表明,
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:416597
    • 提供者:赵冉
  1. 交通灯VHDL

    1下载:
  2. 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作/复位和紧急情况两种工作模式。
  3. 所属分类:文档资料

  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. stx_cookbook.zip

    1下载:
  2. Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;,advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for arithmetic,floating points oper
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:962193
    • 提供者:刘易
  1. matlab_to_vhdlfpga

    0下载:
  2.   本文提出了加快发展之路   从理论设计,通过Matlab / Simulink环境   在定点算法对其行为模拟的   在FPGA或定制实现硅片。这个了   实现了netlist移植的Simulink系统   描述成的硬件描述语言[VHDL]。在这个例子中,这个   Simulink-to-VHDL转换器被设计来使用   代码来描述结构VHDL系统互连,   允许简单的行为说明基本模块。   结果VHDL bit-true交付后代码   比较定点Simu
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:148219
    • 提供者:王晓
  1. VHDLtoVerilog

    1下载:
  2. VHDL转Verilog的小软件,绝对能用。转换效果还可以-VHDL to Verilog software
  3. 所属分类:software engineering

    • 发布日期:2014-04-27
    • 文件大小:166850
    • 提供者:chenlei
  1. TLC549

    0下载:
  2. TLC548和TLC549是以8位开关电容逐次逼近A/D转换器为基础而构造的CMOS A/D转换器。它们设 计成能通过3态数据输出和模拟输入与微处理器或外围设备串行接口。TLC548和TLC549仅用输入/输出时 钟(I/O CLOCK) 和芯片选择(CS) 输入作数据控制。TLC548的最高I/O CLOCK输入频率为2.048MHz, 而TLC549的I/O CLOCK输入频率最高可达1.1MHz。 有关与大多数通用微处理器接口的详细资料已由工厂 准备好,可供使用。-TLC5
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:711464
    • 提供者:ysy593
  1. dds9851

    0下载:
  2. 本文主要介绍的是采用直接数字频率合成的短波信号发生器,它主要以微电脑控制部分、直接数字频率合成(DDS)部分、数字锁相环频率合成部分、背光液晶显示部分、功率放大部分等组成。该软件系统采用菜单形式进行操作,操作方便明了,增加了很多功能。它通过启动DDS后,把内存缓存区的数据送到DDS后输出相应的频率,并把数据转换为BCD码,送到液晶显示器进行显示。该系统输出稳定度、精度极高,适用于当代的尖端的通信系统和精密的高精度仪器。-This paper describes the use of direct
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:467373
    • 提供者:xiang
  1. VHDL-basedhigh-speedserialADconvertercontroldesign

    0下载:
  2. 基于VHDL的高速串行AD转换器控制设计与实现,收费论文,文章中含有设计方法和代码.-VHDL-based high-speed serial AD converter control design and implementation, charges papers, articles containing design methods and code.
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:273556
    • 提供者:Jiang Guangxiu
  1. 1985490pof2jed

    0下载:
  2. 用VHDL语言编写代码,生成,JED文件,用MAX+PLUSII编写,该软件实现转换-Using VHDL language code generation, JED file, with the MAX+ PLUSII write, the software conversion
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1271300
    • 提供者:sunruili
  1. vhdl-TAXI

    0下载:
  2. 随着EDA技术的发展及大规模可编程逻辑器件CPLD/FPGA的出现,电子系统的设计技术和工具发生了巨大的变化,通过EDA技术对CPLD/FPGA编程开发产品,不仅成本低、周期短、可靠性高,而且可随时在系统中修改其逻辑功能。本文利用VHDL语言设计出租车计费系统,使其实现汽车启动、停止、暂停时计费以及预置等功能,通过设置计数电路进行路费及路程的计数,通过设计数据转换电路将路费及路程的十进制数分离成四位十进制数表示,通过设计快速扫描电路显示车费及路费,突出了其作为硬件描述语言的良好的可读性的优点。通
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:269650
    • 提供者:stella
  1. FPGA

    0下载:
  2. 关于FPGA的A/D(ADS7844)转换器电路设计-On the FPGA of the A/D converter circuit design
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:260857
    • 提供者:keya
  1. New_Stepper_Motor_Drive_Circuit

    0下载:
  2. 本课题设计了一款用于两相混合式步进电机的驱动芯片,内部集成了PWM(脉 冲宽度调制)斩波控制和步进电机细分驱动功能,工作于36V并可持续输出1.5A 电流。PWM电流控制电路与3位非线性数模转换器相结合,可细分电机绕组上的 电流,对步进电机进行整步、半步、1/4步或1/8步的细分控制,从而实现了步 进电机不同步距角的运作。为了改善电机性能,特别是电机工作在微步距模式下 的正弦电流波形下时,芯片可提供三种不同的电流衰减模式(快衰减模式、慢衰 减模式、混合衰减模式)。同时,芯
  3. 所属分类:Project Design

    • 发布日期:2017-04-10
    • 文件大小:1684692
    • 提供者:孙文
  1. congxianchaoshengshujucaiji

    0下载:
  2. 在研究超声检测技术以及高频信号采集和处理技术发展趋势和PCI总线的特点基 础上,提出了一种基于PCI总线的超声数据采集卡的实现方案。在硬件方面,系统由模 数转换模块、数据缓冲模块、接口模块和逻辑控制模块等四个功能模块构成,着重研究 了接口芯片PCI9052的数据传输方式,采用原理图+VHDL的方法设计了板卡的内部控 制逻辑和数据缓冲模块,并进行了相关的时序仿真和逻辑验证。-Ultrasonic testing in research and high-frequency sign
  3. 所属分类:File Formats

    • 发布日期:2017-05-18
    • 文件大小:4976228
    • 提供者:姚木
  1. 018vhdl-TTL

    0下载:
  2. camera link 借口电路的差分信号转换-camera link pretext differential signal conversion circuit
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:184329
    • 提供者:jim
  1. 8421BCD

    0下载:
  2. 8421bcd 编码 把十进制数字转换为8421bcd型二进制序列,例如11=00010001-8421bcd coded decimal numbers into 8421bcd the type of binary sequences, such as 11 = 00010001
  3. 所属分类:Project Design

    • 发布日期:2017-04-07
    • 文件大小:11602
    • 提供者:zhaohong
  1. vhdl-7Nixie-tube

    0下载:
  2. vhdl 七段数码管代码 可以把代码转换成可以在七段数码管上显示的代码-Seven-Segment LED vhdl code into the code can be displayed in seven sections of the code on the digital
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:3723
    • 提供者:zhaohong
  1. ADC

    0下载:
  2. EDA技术中,用VHDL语言下载程序到FPGA中,用状态机实现AD转换-EDA technology, into the FPGA using VHDL language download the program using the state machine to the AD converter
  3. 所属分类:Software Testing

    • 发布日期:2017-04-04
    • 文件大小:120685
    • 提供者:朱文艺
  1. VHDL

    0下载:
  2. 电子综合实验讲义,AD,DA,转换等等应用VHDL-Electronic integrated experimental handouts
  3. 所属分类:software engineering

    • 发布日期:2017-05-02
    • 文件大小:572625
    • 提供者:宋歌
« 12 »
搜珍网 www.dssz.com